Fragebogen PDF - Invent a Chip

Werbung
FR AGEBOGEN
V D E - B M B F - A K T I O N
20
17
t
f
n
u
k
u
Z
e
i
d
n
i
?
h
l
i
c
b
u
e
Mo
t
g
e
w
e
b
s
– Wa
Mobil und beweglich sein – diesen Wunsch haben alle Menschen gleichermaßen, in der Stadt und auf dem Land. Die Wege
führen zur Schule oder zur Arbeit, ins Kino oder raus aufs Land, zu Freunden oder zum Shopping – und natürlich wieder zurück
nach Hause, zu Fuß, mit dem Rad, mit Bus und Bahn oder dem Auto. Doch die Mobilität von morgen wird anders sein. Nicht
nur, weil vielleicht bald Autos autonom, also selbstständig fahren. Zunehmende Vernetzung und digitale Daten im Fahrzeug, im­
mer mehr Menschen in Ballungszentren und damit immer mehr Verkehr, die effektive Verzahnung aller möglichen Verkehrsmittel,
aber auch emissionsfreie Antriebe und die Notwendigkeit einer umweltschonenden Umsetzung: die nachhaltigen Mobilitäts­
konzepte der Zukunft müssen vieles berücksichtigen.
„Wenn ich die Menschen gefragt hätte, was sie wollen, hätten sie gesagt: schnellere Pferde.“ Dieser legendäre Satz des ame­
rikanischen Automobilherstellers Henry Ford (1863 – 1947), der vor hundert Jahren mit dem Einsatz der Fließbandarbeit die
PKW-Branche revolutionierte und damit Autos für eine breite Masse erschwinglich machte, zeigt eines: Visionäres Denken
löst sich von herkömmlichen Mustern. Die hohen Pferdestärken sind längst in unserem Alltag angekommen, jetzt gilt es, neue
Wege für eine zukunftsfähige Mobilität zu beschreiten. Mikrochips spielen eine große Rolle, z. B. zur Auswertung der immensen
Datenmengen aller vernetzen Sensoren.
Wie bewegt ihr euch in der Zukunft ? Welche Ideen hast du für eine Chipanwendung ? Auf welches Pferd setzt du ?
Wer noch keine Chipidee hat – wir freuen uns auch über eure Texte und Anregungen zum Zukunftsthema „New Mobility“.
20
17
Neugierig geworden auf die Welt der Mikrochips ? Dann
elektronische Systeme (IMS) der Leibniz Universität Hannover
beant­worte als erstes die 20 Fragen – und motiviere viele
zeigen euch in einem Workshop, wie es geht. Während der
deiner Mitschülerinnen und Mitschüler zum Mitmachen ! Die
Praxisphase des Wettbewerbs könnt ihr euch jederzeit mit
Schulen mit den meisten Fragebögen erhalten nämlich einen
euren Betreuern oder den anderen Teams austauschen.
Schulpreis, den ihr für eine tolle Party oder die technische
Zuhause benötigt ihr einen PC mit Internetanschluss,
Ausstattung von Arbeitsräumen einsetzen könnt. Spicken ist
Durchhalte­vermögen und Freude an der fortlaufenden
übrigens erlaubt – vielleicht geben dir eure Fachlehrkräfte,
Umsetzung in den folgenden vier Monaten. Wir wünschen
Studierende, Techniker, Ingenieure oder Wissenschaftler im
euch viel Spaß und Erfolg !
Bekanntenkreis hilfreiche Tipps beim Ausfüllen.
Habt ihr Ideen, Anregungen, Wünsche oder auch Ängste
Du willst genauer wissen, wie Chips entwickelt werden und
zum Thema der Mobilität der Zukunft ? Hierzu findet ihr im
hast eine Idee für einen Mikrochip und seine mögliche Anwen­
Anschluss an diesen Fragebogen im Internet die Möglichkeit
dung ? Schau dir auch das Beispiel im Menüpunkt „Infos und
zum Texteintrag oder Upload eines Dokumentes. Unter allen
Tipps“ auf www.invent-a-chip.de an oder die Ideen der
Einträgen verlosen wir die Teilnahme an Programmpunkten
vergangenen Jahre unter „Siegerprojekte“.
in München.
Du kannst dich gerne vom Thema „New Mobility“ inspirieren
Unter www.invent-a-chip.de ist der Onlinebogen bis zum
lassen. Einreichungen zu anderen Themen sind selbst­
31. März 2017 frei geschaltet. Nach Ablauf dieses Termins ist
verständlich gleichrangig willkommen. Bewirb dich mit
kein Eintrag mehr möglich.
deiner Idee alleine oder im Team von maximal 4 Personen
Der Rechtsweg ist ausgeschlossen, es gilt das Datum des
für die Praxis­phase. Die Experten des Institutes für Mikro­
Eintrags. Bei gleichwertigen Einreichungen entscheidet das Los.
Teilnehmer/innen
Teilnehmer/innen ohne Chipidee
Teilnahmezertifikate und Antwortbogen
„Schülerin oder Schüler der Jahrgangsstufe 8 bis 13
Jede/r Teilnehmer/in, ganz gleich ob mit oder ohne
„Antwortbogen bis zum 31. März 2017 online ausgefüllt
Chipidee, erhält ein individuelles Teilnahmezertifikat
„Teilnahme für Schulpreis
mit Punktzahl und den Antwortbogen. Für Klassen bzw.
(mindestens 10 von maximal 20 Punkten)
Kurse können die einzelnen Leistungen dargestellt werden.
Lehrkräfte bekommen unter Angabe ihrer E-Mail
zusätzlich: Bewerbung mit Chipidee
ebenfalls den Lösungsbogen zugeschickt.
„Einzelteilnehmer oder Team von maximal 4 Personen
„Hochladen der Chipidee als Dokument – eindeutigen
Dokumenten­namen wählen ( = Titel Chipidee)
Schulpreis 2017
Die Schulen mit den meisten Teilnehmern und besten
Lösungen erhalten Geldpreise in Höhe von 1.000, 500
WICHTIG für Teams bei Bewerbung mit Chipidee
oder 250 Euro.
„Jedes Teammitglied muss den Antwortbogen ausfüllen,
es können keine Personen nachträglich benannt werden
„Teamnamen wählen und Anzahl der Teammitglieder
angeben
„Teamsprecher bestimmen – nur dieser lädt das
Dokument mit der Chipidee hoch
Praxisphase Chipentwicklung
Die zehn besten Teilnehmer/innen bzw. Teams, die von
der Jury ausgewählt werden, nehmen an der Praxisphase
teil und konstruieren selbstständig einen funktionsfähigen
Chip. Tatkräftige Unterstützung erhaltet ihr dabei von
Wissenschaftlern der Leibniz Universität Hannover. Zu
gewinnen gibt es hochwertige Preise (Geldpreise von
3.000, 2.000 und 1.000 Euro sowie Praktika) und eine
Menge Kontakte – die Sieger(teams) stellen ihre Ideen
u. a. auf dem MikroSystemTechnik Kongress am
23. Oktober 2017 bei München vor.
Nähere Informationen im Wettbewerbs-Flyer oder unter
www.invent-a-chip.de
2
20
17
y“
ilit
b
o
M
„New
u
z
ragen
F
:
1
Teil
Alle Verkehrsbranchen sind mit der Digitalisierung und neuen Technologien konfrontiert: die Automobilindustrie muss die
Autos vernetzen und völlig neue Sensoren einbauen, um das autonome Fahren zu ermöglichen, Busse und Bahnen bekom­
men neue Informationssysteme für Fahrgäste im Fahrzeug oder durch Internetzugriff, selbst das Fahrrad wird als Pede­lec
und E-Bike elektrifiziert. In der Mobilität wird Elektronik daher immer wichtiger. So besitzt bereits heute ein aktuelles Fahrzeug
eine Vielzahl von einzelnen Mikrochips – beispielsweise für die Bremsassistenzsysteme von PKW, LKW, Bussen und Eisen­
bahn-Triebfahrzeugen, die das Blockieren der Räder verhindern. Auch Aufgaben zur Komfortsteigerung werden durch immer
mehr Mikrochips erledigt, wie die Messung des Verschleißes der Bremsscheiben oder zur Steuerung der Klimaautomatik.
Für das autonome Fahren werden viele Sensoren gebraucht, etwa Kameras zur Verkehrszeichenerkennung und Radar und
Lidar zur Umgebungsbeobachtung. Dabei muss sehr viel in Echtzeit berechnet werden, was kaum in normalen Computern
geschieht, weil diese sehr heiß werden und viel Energie verbrauchen. Also entwickeln Ingenieure für diese speziellen
Funktionen neue Mikrochips und Software, um diese Herausforderungen auch in Zukunft meistern zu können.
Die Fragen
1Fahrzeugplanung
2Beschleunigungsmessung
Im Linienverkehr bei S-Bahnen, Straßenbahnen und
Viele Autofahrer nutzen die nahezu exakte Positions­
Bussen ist es üblich, dass die Fahrzeuge zwischen
bestimmung über ein globales Navigationssystem wie
den Endstationen A und B hin und her fahren. Für
GPS. Dabei benötigt ein Empfangsmodul Kontakt
die Betriebsplanung ist es natürlich wichtig zu wissen,
zu mindestens vier Satelliten, um neben den drei
wie viele Fahrzeuge auf einer Linie verkehren müssen.
Ortskoordinaten auch die notwendige Laufzeitdiffe­
Eine Straßenbahn befährt die Strecke von 25 km zwi­
renz bestimmen zu können. Verliert der Empfänger
schen A und B mit einer Durchschnittsgeschwindigkeit
den Kontakt zu den Satelliten (z. B., wenn ein Tunnel
von 20 km/h. An den zwei Endstationen sind jeweils
durchfahren wird), treffen GPS-Navigationsgeräte eine
10 Minu­ten Pause vorgeschrieben.
kontinuierliche Positionsabschätzung basierend auf
Wie viele Fahrzeuge benötigt man, wenn im
internen Sensoren, um dennoch zu jedem Zeitpunkt
20-Minuten-Takt gefahren werden soll und wie
viel Minuten „Pufferzeit“ gibt es in jeder Richtung
für Verspätungen ?
eine verlässliche Anzeige zu bieten.
Welcher der im folgenden gelisteten Sensoren
wird zur Beschleunigungsmessung verwendet ?
A
9 Fahrzeuge, 5 Minuten Pufferzeit
AAltimeter
B
8 Fahrzeuge, 5 Minuten Pufferzeit
BGyroskop
C
7 Fahrzeuge, 3 Minuten Pufferzeit
CAccelerometer
D
10 Fahrzeuge, 10 Minuten Pufferzeit
DLambdasonde
3
20
17
3
Kommunikation zwischen integr. Schaltkreisen
daher kritisch beachtet werden, um eine Überhitzung
Zur Kommunikation zwischen integrierten Schaltkrei­
und dadurch Schäden zu verhindern.
sen (z. B. zwischen einem Mikrocontroller und einem
Wie hoch ist die Verlustleistung eines Linear-
Sensor mit digitaler Schnittstelle) werden häufig serielle
reglers, wenn eine Spannung von 12 V auf 3,3 V
Kommunikationsprotokolle eingesetzt, die nur eine
heruntergeregelt werden soll und der Laststrom
Signalleitung benötigen und auf der die Daten „hinter­
440 mA beträgt ?
einander“ übertragen werden. Gerade in Fahrzeugen
A
0,75 W
bieten serielle Schnittstellen zwar oft eine reduzierte
B
1,5 W
Datenübertragungsrate gegenüber parallelen Proto­
C
3,8 W
kollen, sie benötigen allerdings auch weniger physika­
D
5,3 W
lische Pins bzw. Leitungen und verringern so den Auf­
wand und die Komplexität der Verdrahtung. Mit einer
einzigen Signalleitung statt der acht parallelen für die
5Pegelwandlung
gleichzeitige Übertragung eines Bytes zwischen zwei
integrierten Schaltkreisen lässt sich das Gewicht der
Mit teilweise fest vorgegebenen Versorgungsspannun­
Verdrahtung um beachtliche 87,5 % reduzieren, was
gen von integrierten Schaltkreisen wird die Verbindung
am Ende Treibstoff spart oder mehr Zuladung erlaubt.
dieser untereinander teilweise eingeschränkt. Es muss
Das Serial Peripheral Interface (SPI) gehört zu den am
stets beachtet werden, dass ICs, die mit einer Span­
häufigsten eingesetzten Protokollen und arbeitet nach
nung von 3,3 V versorgt werden, nicht direkt mit ICs
dem Master/Slave-Prinzip.
mit einer Spannungsversorgung von 5 V verbunden
Wie viele Pins werden an einem Mikrocontroller
werden können, da die höhere Spannung Schaden am
benötigt, wenn dieser als SPI-Master mit 23 Sla-
Bauteil anrichten kann. Um dennoch eine Verbindung
ves an einem Bus bidirektional kommunizieren
zwischen Bausteinen mit verschiedenen Spannungs­
soll (ohne die gemeinsame Masseverbindung
pegeln herstellen zu können, werden sogenannte
als Referenzspannung) ?
A23
Pegel­wandler benötigt.
Welcher der abgebildeten Schaltkreise erlaubt
B24
eine bidirektionale Pegelwandlung zwischen
C25
dem Signal Ua und dem Signal Ub ? Ua ist mit
D26
einem von einer 5 V Spannungsquelle versorgten
IC verbunden und Ub mit einem von einer 3,3 V
Spannungsquelle versorgten IC.
4
Verlustleistung in elektronischen Komponenten
Die unterschiedlichen integrierten Schaltkreise (engl.
A
B
Ua (5V)
Integrated Circuit, IC) in elektronischen Schaltungen
benötigen häufig unterschiedliche Versorgungsspan­
5kΩ
nungen. In digitalen Schaltungen sind üblicherweise
5kΩ
Ua (5V)
3,3 V und 5 V als Versorgungsspannungen zu finden.
Ub (3.3V)
Ub (3.3V)
10kΩ
Einfache Netzteile stellen jedoch nur eine Ausgangs­
spannung zur Verfügung, welche unter Umständen
konvertiert werden muss, damit nachgeschaltete Bau­
teile keinen Schaden nehmen. Auch die in PKW ver­
bauten Autobatterien verfügen über einen 12 V Span­
nungsausgang und brauchen daher eine Spannungs­
C
D
wandlung, um die im Fahrzeug vorhandene digitale
+5V
3.3V
+3.3V
Schaltungstechnik zu versorgen. Hierzu werden u. a.
Linearregler eingesetzt, die sich durch eine einfache
Zusatzbeschaltung und kompakte Maße auszeichnen.
Allerdings erhitzen sich Linearregler schnell, da sämt­
liche Energie des Konvertierungsvorganges in Wärme
umgewandelt wird. Dies muss beim Schaltungsdesign
4
10kΩ
10kΩ
10kΩ
Ub (3.3V)
10kΩ
Ua (5V)
Ua (5V)
Ub (3.3V)
T1
20
17
6Tachograph
sich die durchschnittliche Auslastung wie
Zur Protokollierung der Lenk- und Ruhezeiten sowie
erwartet erhöht ?
der gefahrenen Kilometer und Geschwindigkeit werden
in gewerblich genutzten LKW Fahrtenschreiber gesetz­
A
um ca. 41 %
lich verpflichtend installiert. Moderne digitale Tacho­
B
um ca. 56 %
graphen müssen in einem internen Speichermodul bis
C
um ca. 63 %
zu einem Jahr lang die gemessenen Daten speichern
D
um ca. 74 %
können. Sobald der Speicher voll beschrieben ist,
werden die ältesten Daten nach und nach überschrie­
ben („Ringspeicher“). Im Extremfall ist ein Fahrzeug
24 Stunden am Tag und 365 Tage im Jahr im Einsatz
(ein rechtzeitiger Fahrerwechsel gemäß den geltenden
Vorschriften vorausgesetzt). Es wird angenommen,
dass die Geschwindigkeit mit 1 Byte kodiert wird und
sekündlich aufgezeichnet wird.
Wie groß muss der Speicher des Tachographen
mindestens dimensioniert sein, um für ein Jahr
lang die Geschwindigkeit aufzeichnen zu
können ?
A
1,887 GByte
B
252,288 Mbit
C
4204,8 KByte
D
145311,18 Kbit
7Verlustleistungsminimierung
8Displayansteuerung
In einer in einem Auto eingesetzten Messschaltung ist
Ein in der Autokonsole verbautes Farbdisplay, welches
zur Steuerung ein Mikrocontroller verbaut, welcher mit
zur Anzeige von Fahrzeugdaten verwendet werden
der maximalen Taktfrequenz von 20 MHz arbeitet. Eine
soll, ist über ein Serial Peripheral Interface (SPI) an
Untersuchung hat ergeben, dass der Mikrocontroller
einen Mikrocontroller angeschlossen. Es verfügt über
im Durchschnitt zu 54 % ausgelastet ist. Um die Ener­
eine Auflösung von 320 mal 240 Pixeln und eine
gieaufnahme der Schaltung abzusenken und so die
Farbtiefe von 16 Bit pro Pixel. Um ein neues Bild zu
Belastung der Autobatterie zu verringern, soll die Takt­
zeichnen, muss der Mikrocontroller zuerst einen 8 Bit
frequenz reduziert werden. Rechnerisch ergibt sich bei
breiten Steuerbefehl und anschließend den Farbwert
einer Taktfrequenz von 12 MHz eine durchschnittliche
jedes Pixels über die SPI-Schnittstelle an das Display
Auslastung von 90 %, wenn die insgesamt benötigte
senden. Es wird also immer ein vollständiges Bild
Rechenleistung konstant bleibt.
übertragen.
Der Blick in das Datenblatt des eingesetzten Mikro­
Damit Benutzereingaben und Änderungen der gemes­
controllers zeigt, dass dieser unter Last bei einer
senen Größen ohne nennenswerte Verzögerung auf
Versorgungsspannung von 4,5 V eine Stromauf­
dem Display sichtbar werden, sollen mindestens
nahme von 9,8 mA aufweist, wenn er mit 20 MHz
15 Bilder pro Sekunde gezeichnet werden können.
getaktet wird. Ist der Mikrocontroller untätig, sind
Über die SPI-Schnittstelle kann 1 Bit pro Takt über­
es noch 2,5 mA. Beim Betrieb mit 12 MHz kann die
Versorgungs­spannung auf 3,3 V reduziert werden.
tragen werden.
gen werden, um die Anforderungen zu erfüllen ?
Unter Last nimmt der Mikrocontroller dann noch
Mit welcher Frequenz müssen die Daten übertra-
4,2 mA auf, bei Untätigkeit 1,0 mA.
A
8 MHz
Wie stark verringert sich durch die Änderung der
B
12 MHz
Taktfrequenz und der Versorgungsspannung die
C
16 MHz
Leistungsaufnahme des Mikrocontrollers, wenn
D
20 MHz
5
20
17
9Kondensator-Entladung
Taster stellen die einfachste Form der
einer elektronischen Schaltung dar.
Im Gegensatz zu Schaltern rasten
Taster nicht ein, wenn sie betätigt
Prellen
Stabiler Zustand
Prellen
Ua
Interaktion von einem Benutzer mit
VCC
t
GND
Taste gedrückt
Taste losgelassen
werden und stellen sich automatisch in ihren Ausgangszustand zurück, sprich, ein Taster muss dauerhaft gedrückt werden,
um eine elektrische Verbindung herzustellen. Durch mechanische Vibrationen schalten jedoch Bauteile wie Taster einige Male
schnell zwischen ein- und ausgeschaltetem Zustand hin und her (sogenanntes „Prellen“, siehe Bild), bevor tatsächlich ein
stabiler gedrückter Zustand eingenommen wird. Ein verbundener digitaler Baustein wie ein Mikrocontroller erkennt dies
ohne Schutzmaßnahmen fälschlicherweise häufig als mehrmalige Betäti­gung des Tasters.
Das Schaltbild zeigt eine häufig verwendete Schaltung, welche neben dem
VCC
Taster T aus einem Kondensator C1 und vorgeschalteten Widerständen R1 und
R2 besteht, welche die Auf- und Entladung des Kondensators kontrollieren. Der
Kondensator verhindert die Übertragung der schnellen Spannungsänderungen
VCC
R1
µC
während des Taster-Prellvorgangs auf den GPIO-Pin des Mikrocontrollers (µC).
R2
GPIO
Erst wenn der Taster einen seiner stabilen Zustände (dauerhaft gedrückt bzw.
dauerhaft losgelassen) eingenommen hat, ändert sich die analoge Spannung
T
Ua
GND
Ub
C1
am Mikrocontroller-Pin so stark, dass der µC dies als logische Pegeländerung
registriert. Ist die Spannung am Mikrocontroller-Eingang Ub ≤ 1,5 V, wird dies
als logische 0 gewertet, während Spannungen Ub ≥ 3,5 V als logische 1 gewer­
tet werden. Für den Bereich zwischen 1,5 V < Ub < 3,5 V wird solange der vorherige Logikzustand angenommen, bis einer
der festgelegten Schwellenwerte unter- bzw. überschritten wird.
Welcher Widerstand R2 ist notwendig, damit der Taster T für mindestens 15 ms geschlossen sein muss,
bevor der Mikrocontroller eine Pegeländerung registriert ? Es gilt VCC = 5 V, R1 = 10 kΩ und C1 = 1 µF.
AR2 = 2 kΩ
BR2 = 3 kΩ
CR2 = 12 kΩ
DR2 = 13 kΩ
10Analog-Digital-Wandlung
Die Umsetzung von analogen Spannungen in eine digitale Repräsen­
tation und umgekehrt spielt eine wichtige Rolle in elektronischen
Schaltungen. Ohne diese Funktionen würden sich Sensoren mit einer
analogen Ausgabe nicht für eine Weiterverarbeitung durch einen
Mikro­chip eignen. Die Umwandlung einer digital gespeicherten Zahl in
DAC
IN
10
OUT
IN
ADC
REF
REF
2,5V
1,25V
OUT
eine analoge Spannung kann beispielsweise zum Dimmen einer Leuchte verwendet werden. Die entsprechenden Bausteine
werden als Analog-to-Digital-Converter (ADC) bzw. Digital-to-Analog-Converter (DAC) bezeichnet. Die Auflösung und damit
die Ausgabe dieser Bausteine wird maßgeblich durch die angelegte Referenzspannung und die zur Verfügung stehende
Bitbreite bestimmt.
Welcher digitale Eingangswert muss am DAC angelegt werden, wenn der ADC am Digitalausgang
ungefähr den im Hexadezimalsystem notierten Wert (ACDC)16 ausgeben soll ?
A(218)16
B(532)8
C(0011100000)2
D(508)10
6
16
20
17
ipde
h
C
zum
n
e
g
: Fra
2
l
i
e
T
sign
Die meisten elektronischen Geräte in unserem Umfeld sind erst durch fortschreitende Technologien und die Miniaturisierung
elektrischer Schaltungen ermöglicht worden. Oft basieren diese auf integrierten Schaltungen wie Mikrochips, welche u. a. in
Steuerungen für die Energieversorgung integriert sind, Sensordaten erfassen und der komplexen Vernetzung und Auswer­
tung aller Daten dienen. Nicht zuletzt sind sie in jedem Smartphone zu finden. Anfangs waren die Motor- und Bremssteue­
rungen für Straßen- und Schienenfahrzeuge rein mechanisch, danach wurden diese elektrisch unterstützt. Seit den 1990er
Jahren kümmern sich Dutzende von Mikrochips in jedem Fahrzeug um die Fahr-, aber auch Komfortfunktionen. Mikrochips
müssen jeweils spezifische Anforderungen erfüllen – einen kleinen Einblick in die komplexe Welt der Chips bekommt ihr im
folgenden Teil.
Grundlage jedes heutigen Computersystems sind drei logische Operationen, auf denen alles Weitere aufbaut. Diese drei logi­
schen Operationen sind das logische AND, OR und NOT. In der Abbildung sind die Schaltsymbole der logischen Operatoren
dargestellt. Diese Schaltsymbole sind allgemein gültig und international verständlich.
&
≥1
Logisches AND
Logisches OR
Logisches NOT
Die einzelnen logischen Operationen sind durch sog. Wahrheitstabellen definiert. Das logische AND gibt am Ausgang nur
dann den logischen Wert „1“ aus, wenn die beiden Eingänge einen logischen Wert „1“ haben, ansonsten führt der Ausgang
den logischen Wert „0“.
x1
x2
&
y
x1
x2
y = x1 AND x2
0
0
1
1
0
1
0
1
0
0
0
1
Die verwendeten elektronischen Bauelemente zur Realisierung einer logischen Operation werden auch als Logikgatter be­
zeichnet. Ihr zeitliches Verhalten wird durch ein sog. Timing-Diagramm beschrieben. Neben den einzelnen möglichen Werte­
kombinationen der Eingänge und dem daraus resultierenden Ausgang kann damit zusätzlich der Zeitverlauf einer Schaltung
dargestellt werden. Man erkennt hier, dass am Ausgang im zeitlichen Verlauf erst dann „1“ (obere waagerechte Linie) anliegt,
wenn sowohl am Eingang x1 als auch Eingang x2 eine „1“ anliegt.
Eingang x1
t
Eingang x2
t
Ausgang y
t
7
20
17
11 Realisierung von logischen Funktionen auf FPGAs
Bei Invent a Chip arbeiten die Praxisteams mit sogenannten Field Programmable Gate Array (FPGA) Bausteinen. Ein FPGA
ist eine rekonfigurierbare Schaltung, das heißt, die tatsächliche Funktion des FPGA kann durch einen Anwender mit Hilfe
einer Hardware-Beschreibungssprache (z. B. VHDL) festgelegt werden. Wesentliche Elemente eines FPGA sind Felder aus
Basisblöcken, die durch spezielle Verbindungsstrukturen miteinander verknüpft sind. Jeder dieser Basisblöcke besteht
aus programmierbaren Tabellen (engl.: LUT, lookup table), in denen beliebige logische Funktionen (wie z. B. AND- bzw.
OR-Gatter) abgelegt werden können. Durch das entsprechende Programmieren vieler einzelner Tabellen und Verbindungen
lassen sich so sehr komplexe logische Schaltungen auf einem FPGA realisieren.
Die Tabellen sind so aufgebaut, dass die Eingangssignale bzw. die invertierten Eingangssignale zusammen mit den im
SRAM-Speicher abgelegten Tabellenwerten an sogenannten Passtransistoren anliegen, die funktional gesehen logischen
AND-Gattern entsprechen. Für jede Eingangsbelegung kann somit die entsprechende Speicherposition in der Tabelle aus­
gewählt und an den Ausgang y weitergegeben werden. Da jede der vier möglichen Eingangskombinationen (jeweils logische
Werte 1 und 0 für Eingang x1 und x2) dabei nur einmal auftritt, ist die Tabelle bezüglich der realisierten Funktion eindeutig.
x1
x2
SRAM
Speicher
0
0
y
0
1
Welche logische Funktion wird in dem Basisblock mit den Eingängen x1 und x2 und dem Ausgang y realisiert ?
AOR
BAND
CNOR
DXOR
8
20
17
12Signalverlauf
Als kombinatorische Logik werden Schaltkreise bezeichnet, welche ihren Ausgangszustand allein in Abhängigkeit ihrer
aktuellen Eingänge ändern. Sequentielle Logik ist hingegen zusätzlich in der Lage, vergangene Eingangswerte zu berück­
sichtigen. Für diese Eigenschaft werden innerhalb sequentieller Logikschaltungen Speicher benötigt. Flipflops sind 1-bit
große Speicher, welche für die Dauer einer Taktperiode ihren Ausgang halten. Sie stellen damit den Grundstein der
digitalen Schaltungstechnik dar.
Gegeben ist die folgende Schaltung, bestehend aus einem D-Flipflop und mehreren Logikgattern. Das dargestellte Speicher­
element übernimmt bei einer steigenden Taktflanke des Taktsignales clk den Eingang D und gibt ihn einmal normal an Pin Q
aus und einmal invertiert an Pin Q�. Der zusätzlich vorhandene Reset-Pin sorgt dafür, dass ein D-Flipflop seinen zuvor gespei­
cherten Wert mit einer logischen 0 überschreibt, sobald das rst-Signal logisch 1 wird. Dies geschieht unabhängig vom anlie­
genden Taktsignal („asynchroner Reset“). Unten sind verschiedene Signalverläufe angegeben, die die Ein- und Ausgänge der
gegebenen Schaltung darstellen sollen. Dabei sind die Verläufe von clk, rst, a und b immer gleich, nur die Ausgangssignale z
und z� variieren.
a
b
rst
&
≥1
&
D
RST
Q
z
Q
z
clk
Welcher der folgenden Signalverläufe entspricht der Schaltung ?
A
clk
B
rst
C
clk
rst
a
a
b
b
z
z
z
z
clk
D
clk
rst
rst
a
a
b
b
z
z
z
z
9
20
17
13 Binärdarstellung von Dezimalzahlen: Festkommazahlen
Die automatische Steuerung der Lichtanlage im Auto – abhängig vom Tageslicht – ist mittlerweile in vielen PKW verbaut.
Die an einem Fotowiderstand abfallende Spannung wird durch einen Analog-to-Digital-Converter (ADC) digitalisiert.
Der vorliegende Digitalwert kann anschließend von einem Mikrocontroller weiterverarbeitet werden. Der ADC stellt den
gemessenen Wert als Digitalzahl mit den Bits bn-1 … b1 b0 b-1 … b-m zur Verfügung. Jedes Bit bi hat dabei die Wertigkeit 2i.
Über den Parameter n kann also der mögliche Wertebereich eingestellt werden, während der Parameter m die darstellbare
Genauig­keit bestimmt.
Es sollen Spannungen im Intervall von 0 bis 12 V mit einer Auflösung von
mindestens 0,01 V gemessen werden. Wie viele Bits werden in diesem Fall benötigt,
wenn das oben beschriebene Format für die Darstellung verwendet wird ?
A
10 Bits
B11 Bits
C
12 Bits
D
13 Bits
14 Binärdarstellung von Dezimalzahlen: Fließkomma
Fließkommazahlen sind eine alternative Methode, Dezimalzahlen binär darzustellen. Die zur Verfügung stehenden Bits
werden nicht, wie bei Festkommazahlen, in Vor- und Nachkommastellen aufgeteilt, sondern in einen Exponenten e und die
sogenannte Mantisse m. Der Betrag einer Fließkommazahl ist dann 2e · m. So ist es möglich, auf Kosten der Genauigkeit
einen deutlich größeren Wertebereich abzudecken oder umgekehrt eine hohe Genauigkeit bei eingeschränktem Werte­
bereich zu erhalten. Um auch negative Zahlen darstellen zu können, wird außerdem ein Bit für das Vorzeichen reserviert.
Der Standard IEEE 754 definiert verschiedene Formate für Fließkommazahlen. Eine Zahl mit halber Genauigkeit ist 16 Bit
breit und hat folgendes Format:
s
e4
e3
e2
e1
e0
m9
m8
m7
m6
m5
m4
m3
m2
m1
m0
Das Vorzeichenbit s ist 0 für positive Zahlen und 1 für negative. Die Bits e4 e3 e2 e1 e0 bilden die Charakteristik E, aus der sich
der Exponent e nach der Formel e = E -15 berechnen lässt. Da die Werte 0 und 31 besondere Bedeutung haben, sind
somit Exponenten im Bereich von -14 bis +15 möglich. Die Mantisse ist dann die Binärzahl 1, m9 m8 m7 m6 m5 m4 m3 m2 m1 m0,
wobei jedes Bit mi über die Wertigkeit 2i-10 verfügt.
10
Welche der folgenden Binärzahlen stellt eine Dezimalzahl aus dem Intervall von -1,5 bis +5,3 dar ?
A
0
1
0
0
1
0
1
1
0
1
0
1
1
0
1
0
B
0
1
0
0
0
1
0
1
1
0
1
0
0
1
0
0
C
1
1
0
0
0
0
1
1
0
1
0
1
1
0
0
0
D
1
0
1
1
1
1
0
1
1
1
0
0
1
1
0
0
20
17
15 Endliche Zustandsautomaten
Die Steuerung und Überwachung bestimmter Anwendungen wird mit Hilfe endlicher Zustandsautomaten realisiert.
Ein endlicher Zustandsautomat besteht aus einer begrenzten Anzahl von Zuständen sowie Eingängen und Ausgängen.
Hierbei werden zwei grundsätzliche Typen von Zustandsautomaten unterschieden, und zwar die Mealy-Automaten und
die Moore-Automaten. Bei einem Moore-Automaten hängt das Ausgangssignal y nur vom aktuellen Zustand Z ab.
Eingangs­signale x können einen Wechsel des Zustandes hervorrufen. Die Darstellung von Zustandsautomaten erfolgt in
sogenannten Zustands­übergangsdiagrammen. Ein Zustandsübergangsdiagramm stellt die Zustände eines Systems und
die Übergänge zwischen diesen Zuständen aufgrund äußerer Ereignisse dar.
Es soll ein endlicher Zustandsautomat für die Überwachung einer digitalen Signalleitung eingesetzt werden. Die Leitung
wird zur Übertragung eines Bitstroms verwendet. Dem Automaten steht im Eingangssignal x der aktuelle Wert der Signal­
leitung zur Verfügung. Immer dann, wenn der Automat auf der Signalleitung die Bitfolge 101 erkannt hat, soll über das
Ausgangs­signal y eine 1 ausgegeben werden, andernfalls eine 0.
Beispiel Signalverlauf:Legende Moore-Automat:
x
Signal
Zeitverlauf
x
y
00011011001...
00000001000...
Zn
y
Welches Zustandsübergangsdiagramm der abgebildeten Moore-Automaten
erfüllt die beschriebenen Anforderungen ?
A
Z1
1
0
Start
0
0
1
1
0
Z0
Z2
0
Z3
-
1
1
0
0
0
0
Z3
0
Start
Z2
Z1
1
0
0
Z0
0
1
B
1
1
1
C
Start
Z1
1
0
0
0
1
Z0
D
0
Z2
0
0
-
Z3
1
1
Start
Z1
1
0
0
0
0
Z0
0
Z2
1
0
Z3
1
0
1
11
20
17
16 Zyklische Redundanzprüfung
Für die Aktualisierung der Multimedia-Anlage in einem LKW soll ein Firmware-Upgrade über das Internet in den internen
Speicher des Gerätes übertragen werden. Ein sogenanntes Linear Feedback Shift Register (LFSR) wird u. a. verwendet, um
eine zyklische Redundanzprüfung durchzuführen. Dieses Prüfverfahren erkennt Fehler in den digital übertragenen Daten und
kann diese sogar korrigieren. Ein LFSR besteht aus einer Reihe von Speicherelementen (hier D-Flipflops), die an ausgesuch­
ten Stellen mit einem XOR-Logikgatter verknüpft sind. Das Logikgatter-Symbol und die Wahrheitstabelle einer XOR-Aussage
sind wie folgt gegeben:
x1
x2
=1
x1
0
0
1
1
y
x2
0
1
0
1
y = x1 XOR x2
0
1
1
0
Jedes Speicherelement kann zwei verschiedene Zustände annehmen, ein LFSR mit vier Flipflops also maximal 24 = 16
verschiedene Zustände. Der Zustand eines LFSR ergibt sich durch Aneinanderreihung der Flipflop-Zustände von links nach
rechts. Die Abbildung veranschaulicht den Zustand „0100“. Nach einem Takt wird es sich im Zustand „0010“ befinden.
Wie viele verschiedene Zustände wird
das LFSR insgesamt annehmen – die
D
bereits genannten eingeschlossen –
‘0’ Q
bevor es wieder im Startzustand 0100
Q
=1
D
‘1’ Q
=1
D
‘0’ Q
Q
D
‘0’ Q
Q
Q
ankommt ?
A5
clk
B6
C7
D8
17 Analyse eines Timingdiagramms
Heutige Chips können aus Milliarden einzelner Transistoren bestehen. Die Transistoren übernehmen hierbei die Funktion
einfacher Schalter, welche sich ein- oder ausschalten lassen. Durch eine geschickte Kombination der Schalter ist es möglich,
logische Funktionen aufzubauen (z. B. ein logisches AND). Hierdurch kann die sogenannte Boolesche Algebra realisiert
werden, also die Kombination mehrerer logischer Operationen wie z. B. y = x1 AND x2 OR x3, auf der alle unsere
Computer­systeme basieren.
Mit Hilfe von Timingdiagrammen lässt sich das zeitliche Verhalten solcher logischen Funktionen darstellen. Sind die
Eingangs­signale x1 und x2 sowie das daraus resultierende Ausgangssignal y bekannt, so lässt sich die unbekannte
logische Funktionen daraus benennen. Durch den systematischen Vergleich der vorkommenden unterschiedlichen
Eingangs­belegungen in einer Wertetabelle kann die unbekannte logische Funktion gefunden werden.
Wie heißt die gesuchte logische Funktion, die im folgenden Timingdiagramm dargestellt wird ?
Eingang x1
t
x1
x2
Unbekannte
logische
Schaltung
y
Eingang x2
t
AAND
BOR
CNAND
DNOR
12
Ausgang y
t
18.
Logikschaltung
18. Logikschaltung
20
17
Die Umwandlung
von digitalen
in digitale Ausgangssignale
wird durch wird durch
Die Umwandlung
vonEingangssignalen
digitalen Eingangssignalen
in digitale Ausgangssignale
sogenannte
Logikfunktionen
festgelegt. In
einer Logikfunktion
werden die werden
Eingangssignale
sogenannte
Logikfunktionen
festgelegt.
In einer Logikfunktion
die Eingangssignale
18Logikschaltung
durch die drei
grundlegenden
Operationen
AND
(Symbol:
∧),
OR
(Symbol:
∨)(Symbol:
und NOT
durch die drei
grundlegenden
Operationen
AND
(Symbol: ∧),wird
OR
∨) und
NOT
Die Umwandlung
von digitalen
Eingangssignalen
in digitale
Ausgangssignale
durch
sogenannte
Logikfunktionen
fest­
(gekennzeichnet
durch einen
Strich
überStrich
dem über
Signal)
beliebig
verknüpft
um
selbst um selbst
(gekennzeichnet
durch
einen
dem
Signal)
beliebig
verknüpft
gelegt. In einer Logikfunktion werden die Eingangssignale durch die drei grundlegenden Operationen AND (Symbol: ),
komplexestekomplexeste
Funktionen abzubilden. abzubilden.
Die notwendigen
Rechenregeln
für Logikfunktionen
(wie
Dieeinen
notwendigen
für Logikfunktionen
(wie
OR (Symbol: ) undFunktionen
NOT (gekennzeichnet durch
Strich über Rechenregeln
dem Signal) beliebig
verknüpft, um selbst
komplexeste
z. B. Operanden-Priorisierung)
werden als Boolesche
Algebra
bezeichnet.
z.
B.
Operanden-Priorisierung)
werden
als
Boolesche
Algebra
bezeichnet.
Funktionen abzubilden. Die notwendigen Rechenregeln für Logikfunktionen (wie z. B. Operanden-Priorisierung) werden als
Im Schaltungsentwurf
ist die Minimierung
von Logikfunktionen
eine wichtige
Methode,
die
Im Schaltungsentwurf
ist die Minimierung
von Logikfunktionen
eine
wichtigeum
Methode,
um die
Algebra
bezeichnet.
für die Boolesche
Realisierung
einer
Schaltung
benötigten
Ressourcen
zu
verringern.
Eine
solche
für die Realisierung einer Schaltung benötigten Ressourcen zu verringern. Eine solche
Im Schaltungsentwurf
ist die Funktion
Minimierung von Logikfunktionen eine wichtige Methode, um die für die Realisierung einer
Minimierung
soll für die logische
Minimierung
soll für die
logische Funktion
Schaltung benötigten Ressourcen zu verringern. Eine solche Minimierung soll für die logische Funktion
𝑦𝑦 = 𝑥𝑥1 ∧ (𝑥𝑥2𝑦𝑦∨=𝑥𝑥3𝑥𝑥) ∨∧̅̅̅
𝑥𝑥1 ∧ 𝑥𝑥3 ∨ ̅̅̅
𝑥𝑥2 𝑥𝑥∧ 𝑥𝑥∧3 𝑥𝑥 ∨ ̅̅̅
1 (𝑥𝑥2 ∨ 𝑥𝑥3 ) ∨ ̅̅̅
1
3 𝑥𝑥2 ∧ 𝑥𝑥3
vorgenommen
werden.
Dabei
ausgenutzt,
dass
Eingangsbelegung
auftreten kann.
vorgenommen
werden.
Dabei
wird wird
ausgenutzt,
dass
diedie
Eingangsbelegung
𝑥𝑥1 𝑥𝑥2 𝑥𝑥3 = 010
vorgenommen
werden.
Dabei
wird ausgenutzt,
dass die Eingangsbelegung
𝑥𝑥1nicht
𝑥𝑥nicht
2 𝑥𝑥3 = 010 nicht
auftreten
Zurkann.
Lösung
solcher
Aufgabenstellungen
eignet
sich
die
Aufstellung
einer
Wahrheitstabelle
für
die
gegebene
Logikfunktion
auftreten kann.
Zur Lösung
solcher
Aufgabenstellungen
eignet
sich
die
Aufstellung
einer
Wahrheitstabelle
für
und der
den Wahrheitstabellen
der vorhandenen
Ein fortgeschrittener
Lösungswegfür
sieht die
ZurVergleich
Lösung mit
solcher
Aufgabenstellungen
eignet sichLogikgatter.
die Aufstellung
einer Wahrheitstabelle
die gegebene
Logikfunktion
und
der
Vergleich
mit
den
Wahrheitstabellen
der
vorhandenen
Logik­
m
inimierung
mittels
Karnaugh-Veitch-Diagramm
vor.
die gegebene Logikfunktion und der Vergleich mit den Wahrheitstabellen der vorhandenen
Logikgatter.
Einder
fortgeschrittener
LösungswegLösungsweg
sieht
die Logikminimierung
mittels
Karnaugh
Welche
folgenden
Logikschaltungen
kann unter
dieser
Voraussetzung
verwendet
werden,
Logikgatter.
Ein fortgeschrittener
sieht die
Logikminimierung
mittels
KarnaughVeitch-Diagramm
vor.
Veitch-Diagramm
vor.
um die
Funktion y zu realisieren ?
Welche derWelche
folgenden
kann unterkann
dieser
Voraussetzung
verwendet verwendet
der Logikschaltungen
folgenden Logikschaltungen
unter
dieser Voraussetzung
A
B
werden, umwerden,
die Funktion
y
zu
realisieren?
um die Funktion y zu realisieren?
a)
a)x2
x3
C
c)
c)x1
x2
x3
x2
x3
≥1
y
≥1 ≥1
x2
x3
x1
x2
≥1
x1
x2
y
y
y
≥1 ≥1
x3
x3
b)
b)
y
d)
y
x1
x3
& xx y&
x1
x3
1
x1
x2
x3
y
y
3
D
d)
&
&
x1
x2
x3
x1
x2
&
y x3
&
y
y
13
20
17
y_in
19Blockschaltbilder
Ein FPGA (Field Programmable Gate Array) ist eine rekonfigurier­
library IEEE;
use IEEE.STD_LOGIC_1164.all
use IEEE.NUMERIC_STD.all;
bare Schaltung, das heißt, die tatsächliche Funktion kann durch
entity sorter is
port(
einen Anwender mit Hilfe einer Hardware-Beschreibungssprache
(z. B. VHDL) festgelegt werden. Hardware-Beschreibungsspra­
chen werden eingesetzt, um z. B. Logikgatter und ihre Verschal­
tung untereinander zu beschreiben. Ingenieure helfen sich selbst
häufig mit Blockschaltbildern weiter, um komplexe Funktionen
);
end sorter;
x_in
einfacher erfassen und beschreiben zu können.
Das abgebildete Blockschaltbild stellt eine Verschaltung
von mehreren Funktionsblöcken des in VHDL beschriebenen Blockes dar. Welche Aufgabe erfüllt die im Blockschaltbild dargestellte Schaltung ?
A
absteigende Sortierung von 7-bit großen Zahlen
B
aufsteigende Sortierung von 8-bit großen Zahlen
C
absteigende Sortierung von 8-bit großen Zahlen
D
aufsteigende Sortierung von 7-bit großen Zahlen
x_in
y_in
a_out
b_out
: in
: in
: out
: out
unsigned(7 downto 0);
unsigned(7 downto 0);
unsigned(7 downto 0);
unsigned(7 downto 0)
a_out
architecture behavioral of sorter is
begin
process(x_in, y_in)
begin
if (x_in > y_in) then
a_out <= x_in;
b_out <= y_in;
else
a_out <= y_in;
b_out <= x_in;
end if;
end process;
end behavioral;
b_out
IN(0)
IN(1)
IN(2)
IN(3)
IN(i)
OUT(i)
OUT(0)
OUT(1)
OUT(2)
20 Anforderungen an Elektronikbauelemente
Elektronische Schaltungen sind heute aus kaum einem Lebensbereich mehr wegzudenken. Dabei unterscheiden sich je
nach Umgebung die Anforderungen an die einzelnen Komponenten. In Abhängigkeit dieser Anforderungen und der eigent­
lichen Funktion des Bauteils müssen z. B. das Chipdesign angepasst werden oder bei der Programmierung zusätzliche
Funktionen zur Sicherstellung einer korrekten Funktionsweise eingebaut werden. So müssen in Automobilen besonders
zuverlässige Komponenten verbaut werden.
Für welchen Dauerbetriebstemperaturbereich müssen elektronische Komponenten
in einem Auto ausgelegt sein ?
14
A
0 °C bis +70 °C
B
-40 °C bis +85 °C
C
-40 °C bis +125 °C
D
-55 °C bis +125 °C
OUT(i-1)

20
17
Antwortbogen bis zum 31. März 2017 online ausfüllen auf:
w w w. i n v e n t - a - c h i p . d e
Rückfragen ? Bitte E-Mail an [email protected]
Hier könnt ihr eure Antworten markieren,
falls ihr mit der Papier­version des Fragebogens arbeitet.
Die Antworten und eure Daten sind einzutragen unter
www.invent-a-chip.de . Dort reicht ihr auch eure Chipidee ein.
Auch könnt ihr dort eure Anregungen zur „New Mobility“ notieren.
Fragen:
Die richtigen Antworten lauten:
1Fahrzeugplanung
ABCD
2Beschleunigungsmessung
ABCD
3
Kommunikation zwischen integrierten Schaltkreisen
ABCD
4
Verlustleistung in elektronischen Komponenten
ABCD
5Pegelwandlung
ABCD
6Tachograph
ABCD
7Verlustleistungsminimierung
ABCD
8Displayansteuerung
ABCD
9Kondensator-Entladung
ABCD
10Analog-Digital-Wandlung
ABCD
11 Realisierung von logischen Funktionen auf FGPAs
ABCD
12Signalverlauf
ABCD
13 Binärdarstellung von Dezimalzahlen: Festkommazahlen
ABCD
14 Binärdarstellung von Dezimalzahlen: Fließkomma
ABCD
15 Endliche Zustandsautomaten
ABCD
16 Zyklische Redundanzprüfung
ABCD
17 Analyse eines Timingdiagramms
ABCD
18Logikschaltung
ABCD
19Blockschaltbilder
ABCD
20 Anforderungen an Elektronikbauelemente
ABCD
20
17
017
2
P
I
a CH
T
EN
V
N
I
r vo n
e
n
t
r
Die Pa
®
Wissenschaftliche Betreuung:
Autoren des Fragebogens und wissenschaftliche Berater:
Prof. Dr.-Ing. Holger Blume
und das Team der wissenschaftlichen Mitarbeiter des Instituts
e.V.
Stresemannallee 15
60596 Frankfurt am Main
BMBF Bundesministerium für Bildung und Forschung
Referat 523
Heinemannstr. 2
53175 Bonn
Projektteam INVENT a CHIP
Anja Rottke
Telefon 0228 5347347
E-Mail [email protected]
Impressum:
Herausgeber: VDE Kommunikation + Public Affairs
Fotos: VDE
Gestaltung: Kellermann · GrafikDesign · Schwielowsee Caputh
VERBAND DER ELEKTROTECHNIK ELEKTRONIK INFORMATIONSTECHNIK
Herunterladen